Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to include compiled libraries in Ncsim

Status
Not open for further replies.

shivu90

Newbie level 6
Joined
Oct 6, 2010
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,342
I have few packages (a,b,c) compiled under a library x i.e
a file inca.linux86.pak under directory "x"

I provide the following in cds.lib :
DEFINE x <path to x>

and try to compile a design which has the following :-
library x
use x.a.all
use x.b.all

when I try to compile the design using :-
ncvhdl -CDSLIB cds.lib -WORK work <design>.vhdl

it says:-
use x.a.all
|
unit a not found in library x

Could anyone please help me figure out what I am missing on ?
 

when I try to compile the design using :-
ncvhdl -CDSLIB cds.lib -WORK work <design>.vhdl

First make sure whether you need to compile everything under the "work" library (which is the default).
There are many cases in which VHDL modules need to be compiled to some other library (which exists as a folder inside your simulation dir, in your case a folder named "x") which is NOT work.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top