Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to implement fast adder in xilinx FPGA?

Status
Not open for further replies.

mhytr

Member level 3
Joined
Dec 14, 2004
Messages
57
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
558
fpga fast adder

I found that a 16bit adder in Spartan3 FPGA have a delay of about 6ns.
But the embeded 18bit multiplier in Spartan3 FPGA have a delay of only 8 ns.
I want to do a multiplication followed by a addition in 1 clock time,so i need to reduuce the delay of adder.
Can anybody give me some advice? Thanks
 

fast adder

Those ns numbers seem too slow. How fast do you need it to go? Which speed version chip are you using? How many bits of the multiplier are you using? Normally you want to rearrange the arithmetic to use pipelining. If you show us example test code that can be compiled, maybe someone can suggest improvement.
 

very fast adder

use a parallel pipelined multiplier with an adder, this way you will not loose your throughput, i.e. you will get a result each clock cycle but you will have some latency.
 

fast 16 bit fpga adder

I suppose that basedon your const. synthesis tool will choose the best one, so that it is better to work around it on synthesis const. However big addre always has speed problem!
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top