Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to Implement a repetitive design?

Status
Not open for further replies.

dw_man

Junior Member level 3
Joined
Aug 7, 2013
Messages
26
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
188
I have a RTL design (from VHDL) which has been synthesized and routed using IC Compiler. I want to include multiple instances of this design which has already been routed successfully.

Rather than including a top level VHDL module which instantiates multiple instances and then routes the entire design, is it possible to just use the individual design as a 'black-box' and then connect the inputs and outputs to each other, meaning the same design will not have to routed over and over multiple times?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top