Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to implement a pll using fpga?

Status
Not open for further replies.

eelinker

Full Member level 5
Joined
Feb 12, 2006
Messages
267
Helped
16
Reputation
32
Reaction score
8
Trophy points
1,298
Location
PERSIA
Activity points
2,775
How to implement a pll using fpga?
 

pll fpga

Do you mean implement PLL using verilog code?!


I dun understand why you wanna implment PLL. In Virtex 5, you can simple instantiate the PLL and use it. It's easy if you read all document.


Bear in mind PLL has analog circuitry inside. I dun think we can design it in FPGA. We should the the coregen.

Thanks.
 

    eelinker

    Points: 2
    Helpful Answer Positive Rating
xilinx pll

Hi,
Many of the FPGA families are comming with with PLLs or DCM (Digital clock managers). Example stratix-II, Vertix-II have them. You need to write a wrapper around these to make it cmatible with your PLL. However they offer limited functionality as compaired with analog PLLs in ASIC. DCMs doesnt give options to change the multiplier & divider in RUN time. You need to fix them during synthesis.

I dont think that if you have any analog pll module, that you will be able to put in FPGA as it is.
Hope this will help you.

Best luck,
Ram
 

    eelinker

    Points: 2
    Helpful Answer Positive Rating
digital pll fpga

I feel its not possible to implement a PLL in FPGA(with out any special units like DCM). do you have come across any ckt(digital) using combinational or sequential logic which can give more frequency signal than the clock we are feeding? in your studies or work?
 

    eelinker

    Points: 2
    Helpful Answer Positive Rating
pll xilinx

What device are you using? Why do u want to implement pll? Hm... in xilinx, u can using the core gen to generate dll/dcm. Hope this helps.
 

    eelinker

    Points: 2
    Helpful Answer Positive Rating
fpga pll

u need to use coregen for using the pll inside an fpga....i don't think u can write any verilog/vhdl code for it...
 

    eelinker

    Points: 2
    Helpful Answer Positive Rating
pll on fpga

What's coregen?
Is it possible to use it's implemented pll in a fpga based modem?
What's the frequency characteristics of fpga?

Thanks
 

pll+fpga

I think that you can write a one but you should
1- design its parameters
2-convert each analog block to its digital equivelant (PD=mult,LBF=IIR,VCO=NCO) and so on
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top