Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to get the inverse of matrix in vhdl

Status
Not open for further replies.

dksagra

Junior Member level 1
Joined
Jul 26, 2010
Messages
19
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
india
Activity points
1,493
Hello,
I need your help urgently for calculating inverse of the matrix.
for example i need to calculate the inverse of the following A matrix where A is signed. how top do this? please help.
A:= ("0100", "0010")
 

Your matrix example is suspiciously vector-like. :p Or transpose vector, depending on the notation du jour. You know that inverse only works for square matrices, right? For non-square you get stuff like least squares and/or projections. As in left-inverse and right-inverse and all that. So a clearer specification might be in order.

What order matrices will the input be?
 

actually its a vector type...

A:=("01001010000001000010000000000000")
A is signed and 31 downto 0..its a 32 bit vector...

i have to take inverse of this...
 

Your mastery of confuzzlement is enviable!

Your current example A:=("01001010000001000010000000000000") is different from the previous example A:= ("0100", "0010").
Let's forget about VHDL for a moment. Purely in math terms, do you know what you want?

Because ... in your latest example A:=("01001010000001000010000000000000") is a 1X1 matrix with 32-bit signed matrix elements for which the inverse is fairly trivial. :p
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top