Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to generate non-overlap clock from Cadence

Status
Not open for further replies.

shanmei

Advanced Member level 1
Joined
Jul 26, 2006
Messages
430
Helped
8
Reputation
16
Reaction score
8
Trophy points
1,298
Location
USA
Activity points
4,496
Is there any symbol or file can automatically generate non-overlap signals from Cadence? thanks.
 

Not that I've seen but a pair of vpulse sources is not
that challenging to set proper parameters for, if this
is for Spectre analysis. And there are, I'm sure, some
verilog NOCG code snippets loose on the InterWebz
for the searching.
 
  • Like
Reactions: shanmei

    V

    Points: 2
    Helpful Answer Positive Rating

    shanmei

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top