Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to generate a pulse from one clock domain to another clock domain?

Status
Not open for further replies.

sitesh

Newbie level 1
Joined
Nov 29, 2014
Messages
0
Helped
0
Reputation
0
Reaction score
0
Trophy points
0
Activity points
0
I want to know about how to write verilog code to generate a pulse from one clock domain to another clock domain.
1. First is operating at 50MHZ frequency.
2. Second is operating at 100MHZ frequency.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top