Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to generate a psuedoe random sequence?

Status
Not open for further replies.

boeysue

Full Member level 3
Joined
Dec 23, 2004
Messages
187
Helped
3
Reputation
6
Reaction score
0
Trophy points
1,296
Activity points
1,523
Is there any document for that topics or can anyone express that for me?

Thanks for answering..
 

what do you want to know about it???? be a little more specific. do you want Verilog or VHDL codes or do you want an implementation with a microcontroller like PIC.

a simple search on edaboard and google will give you alot of info
 

What do u want to implement a psuedo random sequence generator in RTL ( u can find a example of that HDL chip design - smith book) or a random sequnce for RTL verification
 

Search for Linear Feedback Shift Register (or LFSR)on google. This structure is basically a circular shift register with XOR gates between some of the stages, it produces a pseudo-random sequence when clocked...
 

hi,
you can go through a chapter on random number generation in a book by populis "Probability, Random Variables, and Stochastic Processes".You can find c code for random number generation in "numerical recipes in c" it is available online.If u r interested in mcu implementation of it check this application note by ti
https://focus.ti.com/docs/apps/catalog/resources/appnoteabstract.jhtml?abstractName=swra041
it is concerned with frequency hopping but you can also find code for random number generation.
pimr
 

I want a psuedoe random sequence generate to add to my answer in order to spread the error.
It may be used in digital signal processor or asic...
Thanks for the above discussion I will check in the "numerical recipies in C".
 

Hi,
You can use LFSR. Refer following link for some discussion on LFSR.

**broken link removed**

Regards,
Jitendra
 
Pseudo random number generation is given in Numerical recipes in C. Also using Box Mueller method it can generated

Regards
drdolittle :)
 

you can use LFSR (Linear Feedback Shift register) method....it most reliable and have capability to generate any kind of patterns.....


you may also want to learn about CRC (Cyclic Redundancy check)...this will help you solve...how you can make use of LFSR.....for detecting the errors...
 

Yes it is the LFSR, which is the step and length of the module.
 

I have some verilog files that implement different kinds of lfsr. Pleas email me, I can send them to you.
 

Most of lfsr approach can't generate psuedo random sequence with
zero number.
Doesn anyone know how to generate psuedo random sequence with zero number?
 

linear feedback shift register is the best thing for that..there is lot of material available in edaboard.com.
(LFSR is used in BIST also)..

Added after 1 minutes:

see this:
 

Re: How to generate a psuedoe random sequence in vhdl coding ??

i need vhdl coding for pusedo random sequence generator. Pls help me !:roll:

- - - Updated - - -

i also need in vhdl code. Pls sent it
..
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top