Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to generate 10.23MHz from 100Mhz clock!

Status
Not open for further replies.

42karim

Newbie level 6
Joined
Oct 10, 2006
Messages
14
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
1,395
10.23mhz

hallo guys,

I need to generate exact 10.23MHz clock from 100Mhz input clock, but cannot understand how to do it.Can some body help me in this regard.The device is XC2S200 of Spartan 2 family from Xilinx. I am using verilog as coding language.

Regards,
Karim
 

10.23 mhz

DDS algorithm?
 

10.23 mhz clock

use PLL
 

oscillator 10.23mhz

Hi,

You can use the DCM CLKFX output.

100 * M / N = 10.23.

If M = 4 and N = 39 then you will get around 10.25.
 

xilperl

sheik_vb said:
Hi,

You can use the DCM CLKFX output.

100 * M / N = 10.23.

If M = 4 and N = 39 then you will get around 10.25.

Good idea.

P.S. i don't recommend to be DCM dependant in your design

Regards,
Ahmad,
 

vhdl generate 25 mhz clock from 40 mhz

sheik_vb said:
Hi,

You can use the DCM CLKFX output.

I don't think so. XC2S200 has just DLL, not DCM.

ep20k
 

dual modulus divider (pdf)

how to do verilog from a perl script??

regards,
wiztronix
 

dds external dac

rfmw said:
DDS algorithm?

Well, i have serched for DDS algorithm,.....but came across various ICs that use DDS technology......can some one tell me if i can implement this algo on FPGA!

One thing is for sure.....using DDS we can generate any frequecy approximately to one third of the crystal frequency with accuracy up to 1 Hz in several cases!

Regards,
Karim
 

référence at 10.23 mhz

42karim,

Look @ my first post on this topic.
The perl script can generate for U vhdl code who make the requested job.

Example:

perl fracn09.pl -v -f divider 100e6 10.23e6

The dual modulus divider will achieve a 0 Hz error generator.
All characteristics are embedded in the generated file.

If you have not a perl interpreter, let me a message and I publish the generated code.

Telga
 

achieving a 10.23 mhz clock

Harmonic generator
 

frequency divider from 40 mhz to 10.23mhz

This discussion is a few weeks old, but ...

If you are using Xilinx ISE, it includes perl: xilperl.exe.

The fractional divider technique generates jitter that may be undesirable for the application.

The DDS technique can generate a nice low-jitter clock, but it requires an external DAC, low-pass filter, and comparator. You choose the accumulator width to achieve whatever frequency resolution you need, or you can use a modulo or non-binary accumulator to get an exact frequency ratio.
 

generate 48 mhz clock

If you do not care about the phase and scale, you can use a counter to do it.
If a precise clock must be generated to driving the design, you had better take another oscillator.
 

perl verilog clock

Hi,

You can use the DCM output.

100 * M / N = 10.23.

If M = 4 and N = 39 then you will get around 10.25.
 

verilog + generate 10 mhz clock

If a 1.15M jitter is within your tolerance level, then a simple counter would be able to produce precisely the required clock.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top