Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to dump waveform in vhdl

Status
Not open for further replies.

altair_06

Member level 1
Joined
Jul 10, 2006
Messages
38
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
1,533
how to dump waveform in ncvhdl

Hi,

In verilog hdl i had been using $recordvars to dump waveform.How can i do a similar thing in vhdl. The simulator i am using is ncvhdl.
 

dump wareform

Search the FORUM first! Lot many times this question was posted.
Anyway here is one answer from Ajeetha.

Code:
Use TCL in batch mode, you don't need to do it interactively, try the
following tcl file:

-- tcl file

database -open waves -shm -default
probe -shm -all -depth all top
run
exit
----

Use it with ncsim -input dump.tcl <other options>
 

Dump trn file

Hi,
How can i dump the unrequired signal from .trn file as in .fsdb file?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top