Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to dump multiple vcd files?

Status
Not open for further replies.

ebrahimi.khoy

Member level 3
Joined
Dec 4, 2010
Messages
64
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,288
Activity points
1,736
I want to dump the state of a design in each cycle in different files (at least 10000 cycles). In this regard, I should switch bitween vcd files. Unfortunatly, modelsim doesn't support closing a vcd file and opening a new one. I wrote the following script to do this.
But it is too time consuming since for each cycle I should run the processor form starting point.

for {set i 0} {$i < 1000} {incr i} {
restore mibenchqsort_0us
run [ expr { $i*20 } ] ns
run 10 ns
vcd file VCD_files/vcd_clk$i.vcd
vcd add /orpsoc_testbench/dut/or1200_synth0/*
run 5 ns
vcd flush
}


Any Suggestion?
 

1-You could rerun the simulation as many you need different vdd files.
2-You generate only one vcd file, but when you used it you read only the part of the vcd you need.
3- in modelsim you could saved the simulation at different point of the simulation and restart from this one.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top