Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to dump all signal waveform when import VHDL int Verilog

Status
Not open for further replies.

hgz

Member level 3
Joined
Feb 26, 2004
Messages
60
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
611
verilog dump signals

hi,all
How to dump all signals' waveform when import VHDL into verilog testbench,
when top level testbench is verilog code(other are VHDL code) , use
initial
begin
$dumpfile ("debussy.vcd");
$dumpvars (0,top);
#20000
$finish;
end
in top level dump waveform, many vhdl signal such as control signal can not been catched? How to dump all the signals?
Thanks a lot!!!
 

vcs dumpvars fsdb

Depends on your simulator actually. The problem is VCS is not defined for VHDL in VHDL LRM, tools have extended that support though. I know in VCS adding $vcdpluson will dump Verilog + VHDL. They also have command line (ULCI) to do the same. NC & MTI also have similar TCL commands.

Which simulator are you using?

HTH
Aji
http://www.noveldv.com

hgz said:
hi,all
How to dump all signals' waveform when import VHDL into verilog testbench,
when top level testbench is verilog code(other are VHDL code) , use
initial
begin
$dumpfile ("debussy.vcd");
$dumpvars (0,top);
#20000
$finish;
end
in top level dump waveform, many vhdl signal such as control signal can not been catched? How to dump all the signals?
Thanks a lot!!!
 

fsdb vhdl

I think maybe you can consider to dump FSDB file . It's better than VCD
 

    hgz

    Points: 2
    Helpful Answer Positive Rating
dumping probes with tcl in vcs

I use ncsim simulate. thanks.
 

vcdpluson in vhdl

hgz said:
I use ncsim simulate. thanks.

avoid using variables in your VHDL design.
VCD file seemed cannot record them
 

dump, verilog

Read up on the simulator's probe command. I think the syntax is something like:

probe -all -depth all -variable :SCOPE

to include all VHDL signals
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top