Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to dump 2d arrays in vcd

Status
Not open for further replies.

khplnarayana

Newbie level 6
Joined
Jun 25, 2004
Messages
12
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,283
Activity points
77
Guys,

I know how to dump vcd in general, but I was not able to dump 2-d arrays in the vcd file.

For example, in my verilog module, if I have a memory reg [3:0] mem [3:0].

Now, can you please let me know, how should I refer them in testbench. And also, what do I need to do to dump these nets in vcd file. I have access to NC SIM, if you can tell me with regards to it, it will be really helpful.

I am really stuck on this, faster help is highly appreciated.

Regards,
Narayana
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top