Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to download SystemC design to Spartan 3 FPGA?

Status
Not open for further replies.

ramy gad

Member level 4
Joined
Nov 6, 2005
Messages
74
Helped
5
Reputation
10
Reaction score
1
Trophy points
1,288
Activity points
1,916
i am using system c i have the design i simulate it
How to download it on the on the Fpga??
i use spartan 3
:D
 

help with system c

What software tools are you using? You need to somehow tell it to synthesize your SystemC design into a netlist suitable for import to Xilinx ISE.

Then you use Xilinx ISE to import that netlist and do the FPGA place and route. Then use Xilinx iMPACT (or something equivalent) to download the bitstream to your FPGA.
 

help with system c

You need to somehow tell it to synthesize your SystemC design into a netlist suitable for import to Xilinx ISE.

how can i do this step?
 

Re: help with system c

go for SUMMIT Vista..system C IDE and for synthesizing go for Cynhtesizer...
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top