Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to design an USB based XDS510

Status
Not open for further replies.

eltonjohn

Advanced Member level 4
Joined
Feb 22, 2002
Messages
1,434
Helped
64
Reputation
126
Reaction score
29
Trophy points
1,328
Activity points
16,329
I needed an emulator XDS510 and they are so pricy .So i'm in the process of designing one
But i will go with USB so i can power the chips too..

Here is the first schematic .I will update it later !
I intent to use the parallel port drivers of the original XDS510 ..But i will raise an exception every time CCS tries to access the parallel port hardware and gain control and re route the commands or data through the FTDI drivers.


By the way i just passed the 1000 post mark!!

This is basically the idea ..by using the 256 lower addresses of the FT232C in MCU host mode to drive the high byte of the TAP 74ACT8990 in one single WRITE(16 bit ) MCU ACCES
But for reading is a little more problematic .SO i was compelled to get the 16 bits in two MCU 8 bit read accesses .Once again by using the higher addresses to enable the latching of the second byte to be read in a the next MCU 8 bit access cycle .!!

I wanted to design something cheap .As a solution to those expensive tools
here i used just cheap TTL logic .. and the Ti Tap to avoid dealing with a very complex software interfacing .On top of that Ti doesn't discloses their EMULATORs SDK .. But with an agreement .So to develop those tools you have to be a company on that line of work ..So i need to stick and use their DRIVERS !

The real fun will begin now with the soft !
 
xds510 clone

Hi eltonjonh,

I think, you miss XDS510 control register in your hardware. It is separate from
ACT8990. Take a look on ES10 files at: h**p://www.agelectronics.co.uk/download.html for register description.

Best regards,
Stoyanov

Added after 5 hours 28 minutes:

Hi eltonjonh,

Sorry,
It was better to read carefully before posting.
My note above is related to XDS510 ( ISA Interface), you plan to
made something based on XDS510PP ( parallel port).
I was learning some of old ISA EVM's - 5x, 54x, made by TI. It seems,
they differ from XDS510 exactely in the control register - different adresses,
bits are located in a different way too.
Anyway, I hope my link will help :)
Good luck!

Stoyanov
 
xds510

HI STOYANOV .. Well i didn't ..i know i haven't finished the final version
I have been envolved with so many soft issues! ..i will updated it later with all the same funcionnalities

But BEFORE i go full speed i will do another PROJECT with the OLD 34C60 i want to see if is posssible to replace it with a fast MCU .. then all is ok!
 

xds510pp schematic

Hi,
I find some XDS510.. made in China.
Maybe they aren't as good as the original.
But they are more cheap.
 
sm510pci

Hi, GOODMAN

Where I find some XDS510.. made in China.
You can tell me?
 
xds510usb schematic

eltonjohn said:
Here is the first schematic .I will update it later !

It's not that easy IMHO. If you look at the XDS510PP schematics, the act8990 outputs don't go directly to the pod. They are combined with some auxiliary, unknown signals (PP_CS2, HPI_CS and TBC_CS) by using a GAL. I think it's necessary to know how these signals are generated.

Your idea is good, but I think it would be much easier to intercept the I/O read/writes for the original XDS510 card (the ISA one), where the auxiliary register is well documented.

By the way, does someone know where I can find the XDS510 ISA board schematics? ;)
 

xds510 usb jtag

don't you worry i have the equations of the gal ,.but i will try to do something cheaper ... The soft is the problem !
 

xds510 usb clone

eltonjohn said:
don't you worry i have the equations of the gal ,.but i will try to do something cheaper ... The soft is the problem !
I know that you have the equations (everybody has them ;)). What you don't have is how the signals that go into the GAL are generated.

If you just trap the I/Os and send them to the TBC, those signals (which probably are generated by writing the output configuration register of the ppc34c60) would be missing.
 

xds510 schematic

I alson know how they are generated .! .
What i would like to know is IF THERE IS A MARKET for such a PRODUCT!
There is also the possibility of implementing all the emulator with a fast MCU
but the 74ACT8990 has to be emulated as well ! ..but anyways great and useful projects ..
 

xds510 diy

eltonjohn said:
I alson know how they are generated .! .
Really? Can you tell it also to me, then? :)
I was planning to build something like your device; the software doesn't scare me very much, I'm more concerned about these hardware details. I think they are the real PITA.

What i would like to know is IF THERE IS A MARKET for such a PRODUCT!
I doubt that a product like this would be legal to sell; XDS510 is a proprietary technology, you need to sign a NDA and buy the SEPK from TI if you want to build and sell your emulator (I know that because I've asked them :)).

There is also the possibility of implementing all the emulator with a fast MCU
but the 74ACT8990 has to be emulated as well ! ..but anyways great and useful projects ..
I think that using a FPGA (just like SD does) would be more appropriate.
 

xds510pp schematics

have you ever comed across the pp34c60 implementation with an epld all the signal are there ..is vhdl code though
 

diy xds510

Yes, I've downloaded that also, but I think it would be more practical to buy the real thing (some US brokers still sell it).

I've found almost all of the informations to "emulate" XDS510 (the ISA version); in this case the control register is almost straightforward, there is just one signal for which I haven't found references yet, i.e. the bit 14 (EVTSYNC, event sync select). Do you have any ideas on what is its function? Maybe it is just an enable signal for the EVENT pins of the SN74ACT8990?

However, I hope that "goodman" will give us some further informations about the chinese vendor. Before taking the solder iron, I would like to test the "el cheapo" XDS510PP interfaces... :)
 

xc9536 schematic

Hello eltonjohn!
So for you USB emulator the problem is with the drivers and you have two choises :
1. write own one which is a very tuff task envolved with a lot of hacking
2. use TI`s drivers but trough debugger which catch the exeptions and then redirect the data to your device
I have such a debugger which I used when I was making XDS510PP_CPLD but trough this debugger the thigs are slowing down ..
Btw I was sart making USB emulator but I don`t have time to finish it ..
 

xc9536

eltonjohn said:
I alson know how they are generated .! .
What i would like to know is IF THERE IS A MARKET for such a PRODUCT!
There is also the possibility of implementing all the emulator with a fast MCU
but the 74ACT8990 has to be emulated as well ! ..but anyways great and useful projects ..
I have check some USB based XDS510 emulator made in china,the inner made up of 3 main chip :USB control chip+ACT8990+CPLD。
They use the CPLD to replace GAL & 74HC244,and the USB chip to finish the SMC34C60's job.
Now i'm trying to make a USB XDS510 by myself,but I don't know the euqation in the GAL .I have try to use some sofeware to conver the JED file into ABEL file,but it seems not work.Can some one tell me the inner logic relation in the GAL ?
my mail : mosfet@126.com THANKs!
 

usb xds510 schematic

Hi czy,

CHIP GAL16V8

PIN 1 TCK
PIN 2 BCLK
PIN 3 TDO
PIN 4 TMS0
PIN 5 TMS1
PIN 6 PP_CS2
PIN 7 HPI_CS
PIN 8 TBC_INT
PIN 9 TMS5_EV3
PIN 10 GND
PIN 11 NC
PIN 12 TCKO
PIN 13 io13
PIN 14 io14
PIN 15 io15
PIN 16 TDIO
PIN 17 NC_pin
PIN 18 TMSO
PIN 19 TRSTO
PIN 20 VCC


EQUATIONS

TRSTO = /HPI_CS * TBC_INT * /TMS5_EV3

TMSO = /TCK * io13 * PP_CS2 * TBC_INT
+ TCK * TMSO * PP_CS2 * TBC_INT
+ /TCK * TMSO * /PP_CS2 * TBC_INT
+ TCK * TMS0 * /PP_CS2 * TBC_INT

NC_pin = /TCK * io14 * PP_CS2 * TBC_INT
+ TCK * NC_pin * PP_CS2 * TBC_INT
+ /TCK * NC_pin * /PP_CS2 * TBC_INT
+ TCK * TMS1 * /PP_CS2 * TBC_INT

TDIO = /TCK * io15 * PP_CS2 * TBC_INT
+ TCK * TDIO * PP_CS2 * TBC_INT
+ /TCK * TDIO * /PP_CS2 * TBC_INT
+ TCK * TDO * /PP_CS2 * TBC_INT

io15 = /TCK*io13 + TCK*TDO

io14 = /TCK*io14 + TCK*TMS1

io13 = /TCK*io13 + TCK*TMS0

TCKO = BCLK * TBC_INT

BR,
Stoyanov
 

tds510 clone

usb2.0 XDS510 Emulator schematic:

I found many faults and fake connections on the schematic....
 
tms320 jtag schematic

THANKS A LOT!
I have used some software like jed2abl to conver it and got the same answer.But i am not sure about whether it wrong or right. Maybe after i finished my current work,i have first to check the emulator's pcb to get a real scheme.
 

diy serial ti tms320 jtag programmer

Hi,everybody! I have just complished the board of usb jtag emulator with a act8990,xc9536 and cypress68013.Now I have used other company's xc9536 in my board and it worked correctly.However,I can't write the vhdl code for xc9536 by myself.Who can help me?Please give me some advices?
P.S:If somebody need,i will upload my schemetic and pcb of my usb emulator.

Added after 9 minutes:

czy:
I found my emulator scheme on a chinese web.Now, my board will work correctly. However, I can't write the vhdl code for xc9536 by myself.Have you done that? Please give some advices!
email:jamesswai@163.com
 

usb jtag cy7c63001

Downloader

**broken link removed**

Demo's IO XILINX XC9536

**broken link removed**

XC9536 ISP Demo Board

**broken link removed**
 

low cost xds510

dsj said:
Hi,everybody! I have just complished the board of usb jtag emulator with a act8990,xc9536 and cypress68013.Now I have used other company's xc9536 in my board and it worked correctly.However,I can't write the vhdl code for xc9536 by myself.Who can help me?Please give me some advices?
P.S:If somebody need,i will upload my schemetic and pcb of my usb emulator.

Added after 9 minutes:


I have complished the dsp usb2.0 emulator too,but i use ALTERA 7032 cpld to replace
xc9536,because i can't write the vhdl code in xc9536 too.why don't you use ALTERA cpld ???
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top