Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to define generated clock in DC?

Status
Not open for further replies.

jinruan

Junior Member level 3
Joined
Dec 8, 2004
Messages
31
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
349
dc get_pins

hi guys
i can't define my generated clock in DC, what's the reason?
for example, clk_i is the input clock, and clk_o is the internal clock which was divided 256 by clk_i.

i use the following command
create_generated_clock {freq_div/clk_o} -name clk_o -source clk_i -divide_by 256

but the result indicate that it can't find clk_o in the top design and the command failed.
 

how to define generated clock

Hi, my dear friend.
You should set generated clock like this:
create_generated_clock -edges {first_edge second_edge last_edge} \
-source [get_ports clk_i] [get_pins clk_o]
1. must specify the pin name to generate a clock.
2. Don't specify a clock name. This is the reason that your setting get a error in DC.
 
  • Like
Reactions: Anklon

    Anklon

    Points: 2
    Helpful Answer Positive Rating
how to define a clock generated by two clocks

you should use [get_pins frq_div/clk_o].
 

clk_o

ok, i think it's so
but the error indicate that freq_div/clk_o can't be
searched in the top design.
as documented says, the global variable "access_internal_pins" must be true to enable pin access(the default is false), but i can't find the .synopsys_dc.setup"files in $SYNOPSYS install directory, (it's so strange,my OP is Unix sparcos5).
 

synopsys generated clock

The .synopsys_dc.setup file can be write by yourself. So you can modify this variable in your .synopsys_dc.setup file.
 

i have define the variable in my .synopsys_dc.setup file as below:
access_internal_pins="true",
but i still can't find nets or pins in submodule.
 

try this
get_pins freq_div/clk_o

if can not find this pin, only the path is not right.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top