Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to debug the memory operation and content?

Status
Not open for further replies.

Zeng Jie-jun

Junior Member level 3
Joined
Apr 10, 2006
Messages
29
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,429
Hi Friends.
I want to debug the memory operation and content. Could you please tell me how to dump the memory database in verilog and debug in Debussy?
Thanks in advance.
 

fsdb memory dump

if you use cadence ncsim .
you can try this
module tb;
initial $shm_open("waves");
initial $shm_probe("ACMS");
endmodule
which will dump all memory related signal to waveform database.
 

debussy memory dump

Zeng Jie-jun said:
Hi Friends.
I want to debug the memory operation and content. Could you please tell me how to dump the memory database in verilog and debug in Debussy?
Thanks in advance.

From:
**broken link removed**

Code:
   $fsdbDumpvars(1, top, "+mda");

HTH
Ajeetha, CVC
www.noveldv.com
* A Pragmatic Approach to VMM Adoption 2006 ISBN 0-9705394-9-5 h**p://www.systemverilog.us/
* SystemVerilog Assertions Handbook
* Using PSL/Sugar
 

fsdb dump memory

Hi,

All you need is the following statements

initial
begin
$fsdbvars(<hierarchy till the memory");
$fsdbDumpfile("<filename.fsdb">);
end


Zeng Jie-jun said:
Hi Friends.
I want to debug the memory operation and content. Could you please tell me how to dump the memory database in verilog and debug in Debussy?
Thanks in advance.

Added after 1 minutes:

All you need is the following statements

initial
begin
$fsdbvars(<hierarchy till the memory");
$fsdbDumpfile("<filename.fsdb">);
end
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top