Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to debug ATPG fault simulation mismatch?

Status
Not open for further replies.

xiaojigao

Newbie level 6
Joined
Oct 12, 2009
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
China
Activity points
1,389
I'm doing fault simulation using ATPG pattern and netlist, but a lot of mismatch are reported, these mismatch are all about one scan cell, such as:

DPV: Parallel Mode ScanCell dut.bus_intf.apb_sync.r_req_reg_0_ expected to be 0 was 1
Detected at time 1749000
With WaveformTable "_default_WFT_"
STIL index 285 of chain 3,
TetraMAX pattern 7 (detected during load of pattern 8), TetraMAX scancell 432

Below is my waveform which the first mismatch report:
atpg.png

My questions are:
1. in the error time(which is indicated by a white line), why Q is changed before CK? I'm running simulation with no delay (no sdf annotated).
2. why Q changed in the time indicated by a yellow line ? I think it should change with posedge of CK, but it not. It's so curious....
3. How to debug this mismatch? Generally what's the root cause of this kind of error?

Thanks very much!!
 

Hi Xiaojigao,

If you got the answer for this question, Please let me know the root cause for changing the Q before rising of the clock.

Waiting for your reply.....:)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top