Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to create Verilog test bench in Modelsim?

Status
Not open for further replies.

ruwan2

Member level 5
Joined
Nov 29, 2011
Messages
90
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
2,141
Hi,

I am new to Modelsim. I find there is a test bench template, but I don't find how to create it. Please see the picture. No matter what I add to the
'Design Unit Name', the 'Next' tab never turns to black.

The small Verilog module has compiled successfully.

Could you tell me how to create a test bench using the wizard for Verilog code?

Thanks,

modelSim.PNG
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top