matrixofdynamism
Advanced Member level 2
I want to copy a single bit to an std_logic_vector so I have;
din : std_logic_vector(31 downto 0);
dx : std_logic;
Now writing din (31 downto 16) <= dx will not work since they do not have same size. What is the proper way to do this? I am using a loop right now, but is there a more concise way? Does Dout (31 downto 16) <= (13 downto 16 => Din(15)); work?
din : std_logic_vector(31 downto 0);
dx : std_logic;
Now writing din (31 downto 16) <= dx will not work since they do not have same size. What is the proper way to do this? I am using a loop right now, but is there a more concise way? Does Dout (31 downto 16) <= (13 downto 16 => Din(15)); work?