Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to convert VHDL code to Verilog?

Status
Not open for further replies.

mithri

Junior Member level 2
Joined
Jun 18, 2007
Messages
21
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,448
hi everyone,

I have written my coding in VHDL using Quartus-II SOFTWARE.for a particular application i need it in VERILOG code.can i directly change my vhdl to verilog r do u need to write in verilog again.

i will be thankful if u can reply me as early as possible
 

vhdl2v

There is a program called vhdl2v that converts VHDL vode to verilog code.

the program is free, you can download it from this link
http://www.ocean-logic.com/downloads.htm

this tool is not perfect, but give it a try.
 
  • Like
Reactions: tariq786

    mithri

    Points: 2
    Helpful Answer Positive Rating

    tariq786

    Points: 2
    Helpful Answer Positive Rating
download x-hdl -crack

thanks for your help !!
but would you like give me some advise on the use of the program!!
for example ,what can I use to open the program,thanks again!!
 

    mithri

    Points: 2
    Helpful Answer Positive Rating
vhdl to veri log converter xhdl-tek free download

and what about verilog to VHDL ?
i would need that
 

    mithri

    Points: 2
    Helpful Answer Positive Rating
vhdl to verilog converter free

Hi vfdff, File vhd2vl2.tgz is a source archive. You need to unpack it with tar and gzip (WinZip or WinRAR can do it), and then compile it with flex, bison, and gcc.

I built a Windows executable with MinGW (attached below). Most of the example conversions work fine, but one of them crashes and another gives different Verilog output. I ran into a few snags during the build, maybe that's why. Or maybe the program simply has bugs. I also tried building it under Cygwin, and got slightly different imperfect results.
 

    mithri

    Points: 2
    Helpful Answer Positive Rating
tek corp xhdl

Hey all.......

X-Tek corporation X-HDL is a bi-converter....Verilog <-> VHDL....
 

    mithri

    Points: 2
    Helpful Answer Positive Rating
xhdl trial version download

hi kpsai26779

you can register on their web site and download a limited demo version of x-HDL
their web site is:
https://www.x-tekcorp.com/

regards,
sakr
 

    mithri

    Points: 2
    Helpful Answer Positive Rating
Re: VHDL TO VERILOG

Guru59 said:
Hey all.......

X-Tek corporation X-HDL is a bi-converter....Verilog <-> VHDL....

Yes, X-hdl is a good tool for translating between VHDL and Verilog. I have used it to translate many codes and it works well.
 

    mithri

    Points: 2
    Helpful Answer Positive Rating
Re: VHDL TO VERILOG

you can use Summit tools (now mentor graphics) to conver VHDL to Verilog...
 

    mithri

    Points: 2
    Helpful Answer Positive Rating
Re: VHDL TO VERILOG

i am downloading x tex already but it say that the file too big to transfer ,what the problem ?
 

Re: VHDL TO VERILOG

i am downloading x tex already but it say that the file too big to transfer ,what the problem ?

yes i'v the same prblms, i cnt translate it for big programs,
what i shoudl do??
 

Re: VHDL TO VERILOG

If it's the free version of this tools, then it has limited capabilities (big files can't be handled in the free version).
It's expensive to buy, but it works quite well.

BTW most of today's FPGA tools can handle mixed signal (with a wrapper) source code.

Regards
 

I just sent a request for a quote to X-Tek for their X-HDL software. I'm assuming that since they don't list the price on the website, it's probably pretty expensive. But I'm curious, so we'll see. When I get the quote I'll post here so we can all have a idea of the cost.
 

can anybody explain how to use the xhdl?i already download it but i dont know how to use it...T_T
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top