Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to convert a microstrip line (MLIN) into equivalent meander line (MEANDER) in ADS

Status
Not open for further replies.

fadubhai

Newbie level 5
Joined
May 17, 2010
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Lund, Sweden
Activity points
1,344
Hi,

I have to convert an MLIN which has a property of Roger4360 substrate with a length of 50 mm and width of 0.2043 for 1.7 GHz. Now I would like to make it short using Meander Line technique. But the problem is I don't know how to convert it into a Meander Line. I have looked for the Meander component in the TLines- Microstrip Component section of Agilent ADS 2009, but this component is not available over there. Can anyone help me with this problem. It will be a great help for me. Thank You.
 

AWR has measder line function, and you can adjust the line shape easily.
 

AWR has measder line function, and you can adjust the line shape easily.
agree

In the Layout window, go to (I think) Insert, Meander Line. Put in the line width, minimum spacing, total length, etc. Click once where you want to start the line, then move the cursor around and you'll see a "ghost" line. Click again to lock down the end-point. Simple.
 

I have looked for the Meander component in the TLines- Microstrip Component section of Agilent ADS 2009, but this component is not available over there.

You can easily build your own meander model. If the lines have enough spacing and do not couple, just use lines and bends. If you want to include the coupling between the straight line segments, you can use MCLIN or PCLINx elements.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top