Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to call a different language from Verilog?

Status
Not open for further replies.

gigle

Junior Member level 1
Joined
Feb 9, 2006
Messages
19
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
1,479
I need a function that replaces some strings from existing file. Do you have any idea how to implement this function with Verilog.

Calling different language such as C or script should be OK. In this case, please let me know how to call different language from Verilog.

Example:
"file.i"
111
222
333
444
555

|
V

111
888
333
444
555

Thanks
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top