Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to access the internal signals of DUT in VHDL testbench?

Status
Not open for further replies.

ehsan_iut

Junior Member level 1
Joined
Jun 22, 2007
Messages
17
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Singapore
Activity points
1,395
Hi
I've written a testbench for my design in vhdl but I don't know how to access the internal signals of my DUT. Is it possible or we can only have access to the ports? If yes, please help me with the syntax.

p.s. : I want to write them into the file.
 

init_signal_spy syntax

which simulator are you using...?
In modelsim you can add signals in design to the waveform...
 

Re: Testbench in VHDL

You can use
'init_signal_spy' if you are using modelsim.
this will allow you to access internal signals from your testbench, and then you may write them into a file.
for full syntax see modelsim guide or search in goolge for 'init_signal_spy'
Kr,
Avi
http://www.vlsiip.com
 

Testbench in VHDL

I'm using ISE simulator. Is there something like that?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top