Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How speedup NCverilog

Status
Not open for further replies.

rlogin

Newbie level 5
Joined
Apr 15, 2005
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,385
ncverilog command line option

Dear all,

I am using NC-verilog to simulate our design (about 3M gate).
For almost function-patterns, only a few stimulus are changed.
although when ncvlog phase,I separated library,gate and top
bench(includes stimulus), ncelab still costs a long time.

Can anyone tell me a way to speedup my simulation wth my
case.

Thanks
 

speedup ncvrilog

Gate level sinulation is always a time consuming operation, you can use a formal check + STA methedology to overcome this problem.
But some guys are more familiar with the dynamic simulation and have no confidence STA.
 

ncverilog speed up

Thank you for reply.

In fact, just as you said, we are now using formal check(lec)+STA.
But gate simulation still needed in some cases.
(rtl simulation has the same question)

Here i just want to know is there some tips to speedup NCverilog.
I've tried +notimingcheck -access,etc, it really works, but the anxious
is TOO long time by elaboration not ncsim.
 

ncverilog +notimingchecks

Hi ,
Use ncverilog command line option , its a 3 step process (does compilation , elaboration & Simulation) . +notimingchecks speeds up the simulation process , but at the cost timing violation checks which is really required in a gate level simulation.
You can suppress the default generation of Log file by using +nolog as the option , can improve simulation speed.
Also check ur time precision in `timescale , if ur design is operating at very high speeds then go for high precision , other wise go for lower one .This really makes a great difference in simulaion speed & performance.

Hope u got some info ......
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top