Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How programming languages are used for testing/verification?

Status
Not open for further replies.

oermens

Advanced Member level 2
Joined
Nov 19, 2005
Messages
525
Helped
107
Reputation
220
Reaction score
44
Trophy points
1,308
Location
canada
Activity points
3,988
I am a recent masters grad looking for work and my background is in analog/rf cmos circuits and a little digital experience during grad school. I see that for digital asic jobs related to testing/verification they prefer people who have knowledge of C, C++, Perl, TCL and shell scripting. How are these languages used for this purpose? I have some experience with C and Perl, but not in this context. Can someone provide links or examples of where I can learn how these languages are used in a test/verification environment? Thanks.
 

Scripting is required because you may want to automate the process. Having C and perl experience is enough and no need to learn something special.
 
Thanks. So one should be familiar with the command line arguments for the tools as much as or more than the GUI?
 

Object Oriented language (C++ or System Verilog) is becoming an important part of verification methodology. Many test patterns we generate for DUT are good candidate for objects, like packets, bus transactions, etc. With ever increasing complexity of test benches, people relies on the power of OO to solve the verification challenges. So learning and mastering these languages are critical if you want to become a verification engineer.
 
oermens,
If you are interested in analog RF ASIC design, you may want to know the spice/pspice analog simulation language.
TCL is in the list because it is used by many tool vendors as a background language, Modeltech comes to mind. TCL (Tool Control Lanuguage) is industry standard. TCL is also free to download from several vendors. I personally use it for all my scripting outside of VHDL.

Sckoarn
 

Thanks for the info. I know a little bit of Cadence SKILL and writing spectre netlists, but now that I am out of school and looking for work I don't have access to the DFII interface to continue working with these languages. I did try Fedora Electronics Lab in the past as it has a lot of free ASIC tools, waveform viewers and compilers preinstalled, and I am going to reinstall it soon so I can work with Verilog/VHDL. My main goal is to broaden my knowledge while I'm doing my job search, as in Canada it seems that for every analog job there are 10 digital jobs (at the junior/intermediate level) so I'd like to be confident when applying to everything out there.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top