Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How is timing closure performed.?

Status
Not open for further replies.

limitless_21

Member level 2
Joined
May 17, 2012
Messages
52
Helped
1
Reputation
2
Reaction score
2
Trophy points
1,288
Activity points
1,668
Hi All,

I am all new to this timing closure. Can anyone let me know what are the steps being followed for doing timing closure on a design. What are the factors we look for and what are the inputs and outputs for performing this.

Thanks
Limitless_21
 

there should not be any setup/hold/recovery/removal/transition violations present in design , input will be constraint file and post layout netlist , output will be your generated reports.
 

there should not be any setup/hold/recovery/removal/transition violations present in design , input will be constraint file and post layout netlist , output will be your generated reports.

HI Rahul,

Thanks for your quick response. Can you give me the details of the inputs given to the tool. Like what all libraries are given, how is noise and crosstalk handled.
What are all the reports are generated at the end?

Thanks
Limitless_21
 

Timing closure as the name indicates refers to closing the timing for the block under consideration. When I mean closing timing for the block, I mean that every timing path in that design should satisfy the timing set for that path(given under constraints).
For your 2nd question, the libraries are chosen very early in the design phase(taking power into consideration). If you are doing synthesis, the RTL will be the input. If you are doing pre layout STA, then your post synthesis netlist will be the input. For post layout STA, your post P&R netlist along with the SPEF will be used. You also have to provide the constraints in any of these runs.
You will get timing reports as output.
 
Hey Rahul,

Just wanted to know more details on timing closure - as to on which tool is it done, which level in the flow it is performed, what all files are needed for the tool to run , what all reports are being generated and what is the criteria we look for timing closure.
Thanks in advance.

Regards
Limitless
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top