Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How do you synthesize a latch?

Status
Not open for further replies.

no_mad

Full Member level 5
Joined
Dec 10, 2004
Messages
271
Helped
30
Reputation
60
Reaction score
11
Trophy points
1,298
Location
Naboo
Activity points
2,489
latch synthesis

Hi all,

How do you synthesize a latch?

Thanx in advance
no_mad
 

Latch synthesis

//RTL code for latch.
always@(EN or b) begin
if(EN) a = b;
end
 

Latch synthesis

Thanx, I already know how to code a latch.

Actually, my question is how do u do STA for a latch in Design Compiler.

Sorry, my question is too simple.
 

Re: Latch synthesis

Will this code is synthesized into latch?

always @ (posedge clk)
begin
if (!rst)
out <= 0;

else
begin
if (enable)
out <= din;
end
end

In the above code, only if statement exist, but no else. But there a
reset condition. So, will this become latch ?
 

Latch synthesis

hi zeese,

This code is a D-latch with sync active low reset signal.
 

Re: Latch synthesis

zeese said:
Will this code is synthesized into latch?

always @ (posedge clk)
begin
if (!rst)
out <= 0;

else
begin
if (enable)
out <= din;
end
end

In the above code, only if statement exist, but no else. But there a
reset condition. So, will this become latch ?


this will be a d-flop with a mux connected to input .. one i/p of mux is the d-flop out and other i/p is din.
 

Latch synthesis

WHY Do you need the latch in the design?It is not teatable but for the LSSD of the IBM.
A good desgin don't include the latch
 

Re: Latch synthesis

My suggestion is to avoid latched if you can.

They will cause timing problems and will harm the testability of your design
 

Latch synthesis

hi,

in case of vhdl:-
if en='1' then
q<=d;
end if;

with regards,
srik.
 

Latch synthesis

Latch are used for high performance designs. There is a good paper talks about computing/verifying the cycle time for designs with many latches, given the setup/hold and delay of combinational logic.
"Verifying Clock Schedules," Thomas G. Szymanski, Narendra Shenoy, ICCAD 1992, pp. 124-131
 

Re: Latch synthesis

ddtiantian said:
Latch are used for high performance designs. There is a good paper talks about computing/verifying the cycle time for designs with many latches, given the setup/hold and delay of combinational logic.
"Verifying Clock Schedules," Thomas G. Szymanski, Narendra Shenoy, ICCAD 1992, pp. 124-131

Hi ddtiantian,
I am not able to locate this paper. Can you please upload this on this site. Thank you.

Best Regards,
 

Re: Latch synthesis

Latch Basics
 

Re: Latch synthesis

Here is the "Verifying Clock Schedules" paper.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top