how do you make an open drain output on a 16v8 fpga?

Status
Not open for further replies.

herwis

Newbie level 5
Joined
Oct 12, 2011
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,355
Hi,
how do you make an open drain output on a 16v8 pld?
Thanks
 

It's available (only) in complex mode with input to the OE product term.
 
Reactions: herwis

    herwis

    Points: 2
    Helpful Answer Positive Rating
Thank u so much for ur reply, but the problem im new in this field, so could u give me more information and why it's available only in complex mode?
 

The question is answered by the 16V8 data sheet. For open drain output operation, you need individual control of OE terms of output pins. It's only available in complex mode. If you have a logic synthesis tool that supports GAL16V8, e.g Lattice ispLEVER, you can enter a behavioral description of your logic and try to make the tool compile it.
 
Reactions: herwis

    herwis

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…