Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How do you balance Generated clock?

Status
Not open for further replies.

vikramc98406

Full Member level 1
Joined
Nov 30, 2007
Messages
97
Helped
8
Reputation
16
Reaction score
6
Trophy points
1,288
Activity points
1,939
how to take care generated-clocks @ synthesis

How do you balance Generated clock with source/Master clock?

Any special inputs needed?
 

clock tree generated clock

I think balancing the clock is nothing but balancing the skew
and for that u need to check ur ctstch file
and control the skew to reduce the set up or hold violation
correct me if i am wrong
bye take care
 

balance cts for generated clocks

While in the clk tree root, generate several clk signals in several delay step,
routing pre-delay clk to gated (or generated clk) signal
 

generated clocks cts

I think your question is not clear,if you want balance all generated clk,you can define clock root pin if they generated from same source,and you can define clock groups if they come from different souces.if you just want to balance part of generated clock,you should generated cts in special paths
 

hi please tell how to balance the skew between multiple clocks in a design in physical design
 

Use clock groups as I said above
 

You do a clock tree synthesis to balance the clocks.
 

Your cts tool should be smart enough to know the parent of a generated clock and move the generating flop up the clock tree sufficient enough to balance the generated clock.
 

In magma you can define skew groups , all other tools have similar config commands....
 

balancing generated clock is nothing but balancing the skew

that what we r doing the Clock tree Synthesis
 

i feel balanceing the clock means, have to balanece the latenecies, in IP we generally add a source latency to the clk pin equal to the diff of the latency values between the clock so that every clock latency becomes equal
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top