Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how can i install a nanosim in linux ?

Status
Not open for further replies.

tuza2000

Full Member level 2
Joined
Nov 12, 2004
Messages
141
Helped
6
Reputation
12
Reaction score
3
Trophy points
1,298
Activity points
1,077
nanosim download

i have download a nanosim ,but i dont know how to install it ?

can anybody help me?

thx
 

installing nanosim

You must download a Synopsys tools installer.
 

nanosim download

copy & paste from INSTALL_README_ns.txt

############
This INSTALL_README.txt document contains the following sections:



* General Information



* Installation Procedure



* Post-Installation Steps



* Supported Platforms and Required Operating System Patches



-----------------------------------------------------------------

General Information

-----------------------------------------------------------------



This document contains quick-start installation assistance. For detailed

installation and post-installation setup assistance, see the Installation Guide

for the current release, available from one of the following sources:



* The Synopsys Installation Guide Web page at



**broken link removed**



* Documentation on the Web, at



https://solvnet.synopsys.com/cgiservlet/aban/cgi-bin/ASP/dow/dow.cgi



(A Synopsys user name and password are required.)



* Synopsys Online Documentation (SOLD), which is included with

the software (CD users) and is available for download by electronic

software transfer (EST).



* Synopsys MediaDocs Shop, at



https://mediadocs.synopsys.com



You can order a printed copy of the Installation Guide from this site.

(You can also order other Synopsys documents from this site.)



To obtain your latest Synopsys license key file, go to the SmartKeys Web page at



https://www.synopsys.com/smartkeys



For quick start licensing information, go to the Synopsys Licensing

Quickstart Guide at



https://www.synopsys.com/keys/



To obtain information on supported platform and required operating system patches,

see the "Post-Installation Steps" section of this document.



For issues not addressed in this document or in the Installation Guide,

see the SolvNet Web page at https://solvnet.synopsys.com. (You will need your

Synopsys user name and password.)



-----------------------------------------------------------------

Installation Procedure

-----------------------------------------------------------------

This section contains the following information:



- Installation Prerequisites

- Installing by Electronic Software Transfer (EST)

- Installing Product Files From a CD



Installation Prerequisites

=====================================================================

It is recommended that you log on as root or have system administrator

privileges. Also, you must have write permission in the

installation directory.



Installing by Electronic Software Transfer (EST)

=====================================================================



If you did not obtain this INSTALL_README file from the EST (ftp) download

directory for your product, follow the instructions in the README or

INSTALL_README file in the download directory for the product or product

suite you are installing.



Note:

If there is no README or INSTALL_README file in the product download

directory, go to the Electronic Software Transfer Web page at

https://www.synopsys.com/cgi-bin/est.cgi

or see the EST instructions in the Installation Guide at

**broken link removed**.



1. Download the required product files to a temporary directory.



For most products, you will need to download



* A common file, which contains the install.now installation

script and other files that are not platform dependent



* A platform file, which is specific to the operating system and

hardware platform you will be using



Note:

If you download more than one product, you must copy the files for each

product to a separate temporary directory.



Example:



% cd /usr/tmp/tmpdir1

% ftp ftp.synopsys.com

220 Synopsys FTP server ready



Name: <your_synopsys_username>

Password: <your_synopsys_password>

230 User <your_synopsys_username> logged in.



ftp> binary

ftp> cd rev

ftp> cd ns_v2003.03

ftp> get



INSTALL_README.TXT

ns_2003.03_<platform>.tar



where <platform> is one or more of hp32, hp64,

sparcOS5, sparc64, linux.



ftgp> quit



2. Untar the common file and the product files.



Example:



% tar xvf ns_2003.03_common.tar

% tar xvf ns_2003.03_sparcOS5.tar



Note:

If the files are in tar.Z or tar.gz format, you must

uncompress them before you untar them. Use the "uncompress"

utility to uncompress tar.Z files and the "gzip" (gzip -dc)

utility to uncompress tar.gz files.



The temporary directory should now contain these files,



install.now

INSTALL_README.TXT

LABEL.SYS

README.1ST

README.ns

ns.taz files

<platform> subdirectories



where <platform> is hp32, hp64, sparcOS5, sparc64, linux, and so on.



If the temporary directory does not have the appropriate files,

make sure you have downloaded and uncompressed both the common

file and the platform files.



If the download directory does not have a common file, the software is

most likely an overlay patch for an existing release and not a stand-alone

product. Check the SolvNet Release Library for details.



3. To install the software, enter the installation command:



% ./install.now



4. Answer the installation script prompts.



When you are prompted to choose a location for installing the

software, do not select the temporary directory. You must

specify a new location.



If you are installing multiple operating system platforms, you

need to install the platform-independent package only once for

each product. The platform-independent package contains files

that are common to all the supported platforms.



5. After installation is complete, each user must set the SNPSLMD_LICENSE_FILE

environment variable to point to the Synopsys license key file by using

path syntax or port@host syntax.



Example:



setenv SNPSLMD_LICENSE_FILE /path/to/synopsys.lic [path syntax]

setenv SNPSLMD_LICENSE_FILE 27000@myserver [port@host syntax]



For more information on setting this variable and on other

steps to take after installation, see the "Post-Installation

Steps" section of this document.



Installing Product Files From a CD

=======================================================

For instructions on installing your product from a CD, see the Installation Guide.





-------------------------------------------------------------------------------

Post-Installation Steps

-------------------------------------------------------------------------------



Setting Up the User Environment

========================================================



To set up your users, you must create a Synopsys source file, or modify

each user's $HOME setup files (typically .profile, .kshrc, or .bashrc),

by adding the following information to the user environment:



1. Set the SNPSLMD_LICENSE_FILE variable.

2. Add the tool executable files to the user PATH.

3. Set any tool-specific variables.



Information about each of these steps follows. For tool-specific

information, see "Setting Up <product_name> for Each User" in the

appropriate tool chapter in the Installation Guide.





1. Set the SNPSLMD_LICENSE_FILE variable

----------------------------------------



This variable specifies the path to the Synopsys SCL/FLEXlm license server.

In the following example, it is assumed that the Synopsys license server is

using TCP port 27000, the default port for single servers. (However, another

port might be specified at the end of the SERVER line of your site's license

keyfile. For example, "SERVER myserver 8308a297 26585".)



Example:



* Add to .cshrc



setenv SNPSLMD_LICENSE_FILE /path/to/synopsys.lic

or

setenv SNPSLMD_LICENSE_FILE 27000@myserver



* Add to .profile, .kshrc, or .bashrc



SNPSLMD_LICENSE_FILE=/path/to/synopsys.lic; export SNPSLMD_LICENSE_FILE

or

SNPSLMD_LICENSE_FILE=27000@myserver; export SNPSLMD_LICENSE_FILE





2. Add the tool executable files to the user PATH

--------------------------------------------



Typically, you need to add the following directory to the user path:



(synopsys_root)/<platform>/<product>/bin



Example:



Add to .cshrc:



set path=(synopsys_root)/<platform>/ns/bin $path)



Add to .profile, .kshrc, or .bashrc:



PATH=(synopsys_root)/<platform>/ns/bin:$PATH

export PATH





3. Set any tool-specific variables

----------------------------------



In many cases, tool-specific environment variables must be set.

Typically, you need to set an environment variable that points

to the root directory for the Synopsys tool.



Example:



setenv SYNOPSYS /path/to/synopsys_root



Note:

Not all tools use the $SYNOPSYS environment variable. In some

cases, other tool-specific variables must be set. See the

Installation Guide for details.





Verifying the Tool Installation

===================================================================



To verify that the Synopsys tools are installed correctly, see the

appropriate tool chapter in the Installation Guide.



The Installation Guide is available in SOLD, in print format, and also

on the Web. For more information on how to access the Installation Guide,

see the "General Information" section at the top of this document.



-----------------------------------------------------------------

Supported Platforms and Required Operating System Patches

-----------------------------------------------------------------



To use Synopsys tools successfully, you must



* Be running on a supported hardware platform

* Be using a supported operating system

* Have applied the required OS patches.



For detailed information about supported platforms and operating system

patches, go to



https://www.synopsys.com/products/sw_platform.html



To obtain a matrix of supported operating systems by release,

click Supported Operating Systems.



To obtain a list of required operating system patches,

click Qualified Runtime System Configuration.
 

nanosim installation

the version before 2004.9 need not the installer
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top