Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how about eco/spare cell?

Status
Not open for further replies.

linuxluo

Full Member level 6
Joined
Jul 26, 2002
Messages
331
Helped
7
Reputation
14
Reaction score
3
Trophy points
1,298
Activity points
2,514
spare cells rtl

Hi, all
Now I want to insert some spare cell in my desing, what's the flow?
and what tools can do it from syn@psys? or others?
Thanks.
 

how to insert spare cells in rtl

linuxluo said:
Hi, all
Now I want to insert some spare cell in my desing, what's the flow?
and what tools can do it from syn@psys? or others?
Thanks.

Hi,

There's an article attacking this point in solvnet.
Just search.

Good luck.
 

Following is the article from solvenet.
but seems nothing valuable.

we add it by hand, connect every cells input to gnd or vcc.
ask layout to put all those net to the top metal.

any smart method?


*******************************************************
What is the flow for spreading spare gates evenly across a cell?


Question:

What is the flow for spreading spare gates evenly across a cell?

Answer:



1) Create a group of spare cells and mark as type spare.

In PlanetPL:

aprCmdCreateHierGroup
setFormField "Create Group" "Group Type" "Spare"
setFormField "Create Group" "Group Name" "group_name"
setFormField "Create Group" "Pattern" "select_pattern"
formOK "Create Group"

or in PlanetPL --> Groups --> Add to Group by Name

2) Place cells as normally done. (NTDL, TDL, SIP)

3) Spread spare cells among design

axgSpreadGroupCells or PostPlace --> Spread Group Cells


Former article name: 823
Version(s): 2000.2
 

1. We usually instantiate it by hand in the netlist.
2. Use a special name like SPARE_***
3. Tie all inputs to ground or power.
 

we insert some spare cells by hand for change our netlist ,connect spare cells input to gnd or vcc,but we be ready to change one or two mask(top metal) to get our needed state.
 

Sorry, I see the method regarding on spare cell in document from TSMC 0.25/0.18 refrence design flow.
 

Which document ? Please ...

philewar said:
Sorry, I see the method regarding on spare cell in document from TSMC 0.25/0.18 refrence design flow.

May you tell more clearly ?

Which document do you mention about ?
(The file name of this document, for example)

Because I can not find that document .... :(

Thanks in advance !

ps: It's also good if you can PM me that document :eek:
 

Hi,
Can you pm the the doc of TSMC design flow ?
It's very useful for me.
Thanks.
 

The doc is "Spare Gate Handling Guide".

I'm sorry I DO not have the right to re-distribute this doc but I will spend some time on writing a brief introduction. Just waiting pls.

Thx.
 

1. write the spare module as mentioned above
2. call the spare module in RTL (with the spare percentage you have been assigned)
3. connect the clock input to the local clock, so the clock will be connected and balanced (if the block been gated, the spare will be gated too)
4. the rest are the same as posted above

you can add the spares after apr too
 

Usually there are two methods:
1.Add spare gates in RTL code by designer. It depends on the confidence of his design for a designer,such as addtional flops for improving FSM later.
You can define a common spare cell module,and instantiate in your design.

2. Add spare gates in netlist directly.

After all, the number of spare gates is about 1% of the total size.

It's my opinion. FYI
 

gerade:

If you are using DC, you need to put all spare in DONT_TOUCH

Backend tools toke care of the spares very efficient, but user might not get good control of the spares' location
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top