Help with Xilinx ISE simulation error

Status
Not open for further replies.

Peter_L

Junior Member level 1
Joined
Apr 20, 2007
Messages
15
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,406
+xilinx uninitialized register

Hello. I am having problems during a simulation of my software. An attached screen shot of what I am seeing during simulation is shown.

What do the red checkered lines mean? What type of error is this? When i do a syntax check everything compiles fine. Any insight would be great.
 

The simulator's 'X' is similar to the 'X' value in Verilog or VHDL. It means the signal's state is unknown.
Follow the source of that signal, and you will probably find an uninitialized register somewhere. That's the most common cause.

By the way, you are simulating hardware, not software.
 

Thanks Echo, that makes a lot of sense. I'll give it a try and see how it works.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…