Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

HELP using HyperTerminal...

Status
Not open for further replies.

suddy72

Member level 2
Joined
Jun 28, 2007
Messages
50
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,694
hyperterminal non-ascii

Hi everyone,
I need some help regarding using HyperTerminal.
I have set up an RS232 serial link between a VirtexII pro board and my PC. I am sending serial data along this link to the PC.
Does anyone know how i can use hyper-terminal to view this data that i have sent ?


Stuart
 

docklite hyperterminal

You just have to create a new connection, setup this connection (baud rate, parity,...) and click on "connect". If your data are non ascii, you won't be able to see those datas in hyperterminal. In this case you'll have to log the received datas in a file and then open the file with an hex editor.

Regards,
Franck.
 

docklite uart

thanks for the reply mate.
I am basically sending the data as a series of 1s and 0s so maybe that y i cant see anything.
How do i log the data in a file mate ?
sorry for all the questions.

Stuart
 

code to simulate hyperterminal

check this it should help
 

hyperterminal rs232 cross or direct

Thanks mate, did that test and its not returing the @S.
 

How do i log the data in a file mate ?

My hyperterminal is in French :) But in yours, it might be in "transfert" menu and "capture text"....

I don't use hyperterminal for non ascii data. I use Docklite but it's not free. You should look for a free advanced serial logger...

Franck.
 

thanks mate.
I tryed that but its still not capturing any data.
It may be my code.
Can i send you my VHDL file and see if you think its my code thats wrong? you got e-mail add ?

thanks again.

Stuart
 

You'd better to post your code here. I don't have enough time to check your code. Did you simulate your code ? If you did and didn't find any problem my advice is that you should check your TX signal with an oscilloscope, it's going to be way easier to figure out what the problem is.....
 

thanks mate,
Ye i have simluated my uart code and it seems to be giving the correct serial output, so i think the next stage will to to scope it.

Stuart
 

Hi suddy72,

Before connecting Your FPGA board to the PC try this simple test:
- Open a new connection using hyperterminal.
- connect the RS232 cable and connect Pin 2 and 3 of the DB9 so that a you make a loop.
- If there is no problem with you serial port, given that there is a looked loop all thing you type muss be printed on your screen. If it is not the case that mean that you have problem with your serial port.
- Another point to verify is cable itself: In fact the is cross cables and direct cable. Check the one required to connect PC to Baord.
- The last point is to verify your VHDL code which must obey to the same connection's caracteristics fixed in Hyperterminal.

Hope it help !
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top