Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Help! Synopsys VCS problem

Status
Not open for further replies.

pipida

Junior Member level 1
Joined
Sep 14, 2006
Messages
15
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
1,395
rmapats.m

I just installed the VCS2008.09 on my box which running CentOS 4.7 64bit Linux.
It seems that the license is O.K. But some other problems happened.
What might be the problem with my settings? Any advice? Thanks in advance.

The demo file is counter.v.
The output is :

***** Warning: ACC/CLI capabilities have been enabled for the entire design.
For faster performance enable module specific capability in pli.tab file
Parsing design file 'test_count.v'
Parsing design file 'count.v'
Top Level Modules:
test_count
No TimeScale specified
Starting vcs inline pass...
1 module and 0 UDP read.
recompiling module test_count because:
Some compilation options have been changed.
make: *** No rule to make target `rmapats.m', needed by `rmapats_mop.o'. Stop.
Make exited with status 2
CPU time: .113 seconds to compile + .017 seconds to link


The Makefile locates in ./csrc
------------------------------------------------------------------------------------------------------------------
# Makefile generated by VCS to build your model
# This file may be modified; VCS will not overwrite it unless -Mupdate is used

# define default verilog source directory
VSRC=..

# Override TARGET_ARCH
TARGET_ARCH=

# Note: if make gives you errors about include, either get gmake, or
# replace the following line with the contents of the file filelist,
# EACH TIME IT CHANGES
# included file defines OBJS, and is automatically generated by vcs
include filelist

# This file is automatically generated by VCS. Any changes you make to it
# will be overwritten the next time VCS is run
VCS_LIBEXT=
XTRN_OBJS=

VCS_OBJS =5NrI_d.o 5NrIB_d.o wx0S_1_d.o rmapats_mop.o rmapats.o SIM_l.o

OBJS=$(VCS_OBJS) $(XTRN_OBJS)


# Choose name of executable
PRODUCTBASE=$(VSRC)/simv

PRODUCT=$(PRODUCTBASE)

# Product timestamp file. If product is newer than this one,
# we will also re-link the product.
PRODUCT_TIMESTAMP=product_timestamp

# Path to runtime library
CMODLIB=
RUNTIME=/home/xxxxx/EDA/SYNOPSYS/VCS/A-2008.09/linux/lib/libvcsnew$(VCS_LIBEXT).so

# Select your favorite compiler

# Linux:
VCS_CC=gcc

# Loader
LD=g++
# Loader Flags
LDFLAGS=-m32

# C run time startup
CRT0=
# C run time startup
CRTN=
# Machine specific libraries
SYSLIBS=-ldl -lm -lc -ldl

# Default defines
SHELL=/bin/sh

VCSTMPSPECARG=
VCSTMPSPECENV=
# NOTE: if you have little space in /tmp, but plenty in /foo,
#and you are using gcc, uncomment the next line
#VCSTMPSPECENV=TMPDIR=/foo

TMPSPECARG=$(VCSTMPSPECARG)
TMPSPECENV=$(VCSTMPSPECENV)
CC=$(TMPSPECENV) $(VCS_CC) $(TMPSPECARG)

# C flags for compilation
CFLAGS= -pipe -m32 -O -I/home/xxxxx/EDA/SYNOPSYS/VCS/A-2008.09/include

# Partial linking
LD_PARTIAL=ld -r -o
LIBS=/home/xxxxx/EDA/SYNOPSYS/VCS/A-2008.09/linux/lib/libvirsim.a
DOTLIBS=/home/xxxxx/EDA/SYNOPSYS/VCS/A-2008.09/linux/lib/libvirsim.a

product : $(PRODUCT_TIMESTAMP)
@echo $(PRODUCT) up to date

objects : $(OBJS)

rmapats_mop.o: rmapats.m
@$(VCS_HOME)/bin/cgmop -gen_obj rmapats.m rmapats_mop.o

clean :
rm -f $(VCS_OBJS)

clobber : clean
rm -f $(PRODUCT) $(PRODUCT_TIMESTAMP)

$(PRODUCT_TIMESTAMP) : $(PRODUCT)
-if [ -x $(PRODUCT) ]; then chmod -x $(PRODUCT); fi
$(LD) $(CRT0) -o $(PRODUCT) $(LDFLAGS) $(OBJS) $(LIBS) $(CMODLIB) $(RUNTIME) $(SYSLIBS) $(LINK_TB) $(CRTN)
@touch $(PRODUCT_TIMESTAMP)

$(PRODUCT) : $(OBJS) $(DOTLIBS) $(CMODLIB) $(RUNTIME)
@touch $(PRODUCT)
 

rmapats_mop.o

Problem is solved. I switched to CentOS 4.7 32bit version and used the same configuration, then no problem happened at all. Yeah!! It seems that Synopsys VCS has some compatibility problem.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top