Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

HELP ON DLL RESULT SIMULATION

Status
Not open for further replies.

allennlowaton

Full Member level 5
Joined
Oct 5, 2009
Messages
247
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,298
Location
Taiwan
Activity points
3,062
Good day guys..

I am doing a DLL right now. I'm having problem regarding the simulation results.
My DLL composed of a phase detector, charge pump and a 5-stage voltage controlled delay element (VCDE).
The simulation results can be shown below:





I can't understand why this DLL acted like an open loop, where in fact I already connected the output of the 5th stage back to the phase detector then to be compared with the reference frequency that leads to the formation of UP signal. The continuous formation of UP signal leads to always charging the charge pump, which can be seen as VCONTROL hugging the VDD.

Help please....
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top