Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Help needed with simulations in Modelsim

Status
Not open for further replies.

cafukarfoo

Full Member level 3
Joined
Jul 25, 2007
Messages
170
Helped
8
Reputation
16
Reaction score
5
Trophy points
1,298
Activity points
2,510
Help needed in Modelsim

Hi Sir/Madam,

I am using the modelsim example to run the modelsim.

I double click the test_counter file from the "library" tab. Within the "sim" tab, the counter_kf is not instantiated. That mean within the test_counter sim, it cannot see the "counter_kf" module. So this not allow me to simulate the "counter_kf" module.

Do you know why this happen? Thanks.

module test_counter;

reg clk, rst;
wire [7:0] count;

counter_kf dut(count,clk,rst);

initial // Clock generator
begin
clk = 0;
#10 forever #10 clk = !clk;
end

initial // Test stimulus
begin
rst = 0;
#5 rst = 1;
#4 rst = 0;
#50000 $stop;
end

initial
$monitor($stime,, rst,, clk,,, count);

endmodule

Regards,
Chong kar foo
 

Help needed in Modelsim

Did you compile the files in the same library?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top