Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

help needed on verilog PLI

Status
Not open for further replies.

neo_chip

Member level 1
Joined
Jul 14, 2006
Messages
34
Helped
3
Reputation
6
Reaction score
0
Trophy points
1,286
Location
INDIA
Activity points
1,450
verilog pli examples

Hi,

I need to transfer a value stored in a reg in verilog file to a variable in c file.
I figured out to use acc_fetch_value() (access routine). It seems that this routine would require 3 arguments as inputs.
Can anyone explain on this routine. An example would be more helpful.

Thanks,
Neo
 

pli verilog

neo_chip said:
Hi,

I need to transfer a value stored in a reg in verilog file to a variable in c file.
I figured out to use acc_fetch_value() (access routine). It seems that this routine would require 3 arguments as inputs.
Can anyone explain on this routine. An example would be more helpful.

Thanks,
Neo

Use Google code search:

**broken link removed**

And also refer to www.sutherland-hdl.com, his PLI book examples can be downloaded and can be very useful for this sort of stuff.

HTH
Ajeetha, CVC
www.noveldv.com
 

    neo_chip

    Points: 2
    Helpful Answer Positive Rating
verilog pli reg

why not use dpi to finish it. It is very easy for dpi to achieve it.
write a verilog task and export it with returning the reg value, then call the c protetype of the verilog task and transfer the return value to the c program. You can find corresponding detailed information in systemverilog 3.1 specification
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top