Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[HELP] ncvhdl/ncverilog how to?

Status
Not open for further replies.

billjoy

Member level 1
Joined
Apr 19, 2005
Messages
33
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
1,569
ncvhdl

Question:
How to generate fsdb in ncvhdl/ncverilog(v5.4)?
pls give the detail commend (how to call novas/verdi pli?) under rethatlinux
enviroment?

tks in advance!
 

ncverilog fsdb

Hi billjoy,

In this version NC, you can dunp the fsdb file directly. Just use the system call,

$fsdbDumpfile("filename");
$fsdbDumpvars;
 

ncvhdl version

To generate waveforms :
1. set LD_LIBRARY_PATH appropriately :
LD_LIBRARY_PATH=/tools/Novas/share/PLI/nc_xl/LINUX/nc_shared:$LD_LIBRARY_PATH
2. Add the following somewhere in an initial statement in a verilog file :
$fsdbDumpvars; // this will dump all the variables in the design.
3. Compile and run as usual (using ncverilog). A 'verilog.fsdb' file will be created which is the signal dump database for Debussy.
 

nc vhdl fsdb

thanks to wadaye :
but my problem is in my IC, some IP is VHDL
some is verilog
I need add my module and integrated those IP
How to generate mix(vhdl+verilog) fsdb?
I see so run_script
use
ncsim .... input dunp.tcl
but I dont know TCL
Is there any document for reference??
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top