Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Help me with dc_shell-t instruction

Status
Not open for further replies.

ouahhabi5

Newbie level 6
Joined
Feb 14, 2006
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,390
some helps me please.
I don't know why this instruction don't function
dc_shell-t > set_input_delay 1 –max -clock myclk [remove_from_collection [all_ inputs] [get_ports Clk]]
if some find there is some errors tell me please and explain
thanks for every body
 

help dc_shell-t

hi,

Try this:
set_input_delay 1 -max -clock myclk [remove_from_collection [all_inputs] \
[all_inputs -clock myclk]]

-no_mad
 

Re: help dc_shell-t

ouahhabi5 said:
some helps me please.
I don't know why this instruction don't function
dc_shell-t > set_input_delay 1 –max -clock myclk [remove_from_collection [all_ inputs] [get_ports Clk]]
if some find there is some errors tell me please and explain
thanks for every body
The command works except the extra space in "all_ inputs". You should have defined create_clock -period some_v -name myclk CLK or something like that, right?

Nandy
www.nandigits.com
Netlist Debug/ECO in GUI mode
 

Re: help dc_shell-t

thank you Nandy
you have found the error, it is working now
by the way I have already defined the clock, thank you very much
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top