Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Help me to code a Bidirectional bus using VHDL or Verilog

Status
Not open for further replies.

vlsi_freak

Full Member level 2
Joined
Sep 3, 2007
Messages
127
Helped
14
Reputation
28
Reaction score
8
Trophy points
1,298
Activity points
2,041
Hi,

I am working on an ASIC.
Please help me to code a Bidirectional bus using any of VHDL or Verilog.
Can anyone share a code for this.

Regards,
freak
 

Re: Bidirectional buffer

It is easy to do in VHDL or verilog, but the ASIC experts say that they don't want this inside an ASIC, only on external pins. Newer FPGA's from Altera and Xilinx can not have bidirectional signals internally, only on external pins.

In VHDL, you use port type "inout" and assign 'Z' when you want to tri-state the drivers, but this might not be true if you design an ASIC. If it is an external pin, the I/O cell probably has a separate "output enable" signal (and separate input and output signals, of course).

Summary:
Don't create bidirectional signals inside the ASIC. Forget how to do bidirectional stuff in VHDL or verilog, and look at the interface of the I/O cells.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top