Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Help me learn use nc-verilog in command line mode

Status
Not open for further replies.

Aigneryu

Member level 3
Joined
May 7, 2003
Messages
57
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
558
I try to use nc-verilog instead of verilog-XL
but when I type "ncverilog top.v cell.v" as what I did in verilog-XL
the simulator will not launch unless I type "ncverilog top.v cell.v +access+r"

Moreover, if I have to attach a cell based lib cell_lib.v to run simulation,
I write "`uselib file= /path/cell_lib.v" in my netlist, and the verilog-XL runs well, while ncverilog will not run with certain warning messages. In fact, I found that as soon as I put the uselib syntax in my netlist, the ncverilog will not launch.

How can it be like this? Can somebody help me? or show me some examples to use nc-verilog in command line mode.
 

ncvlog uselib error

Aigneryu said:
I try to use nc-verilog instead of verilog-XL
but when I type "ncverilog top.v cell.v" as what I did in verilog-XL
the simulator will not launch unless I type "ncverilog top.v cell.v +access+r"

Moreover, if I have to attach a cell based lib cell_lib.v to run simulation,
I write "`uselib file= /path/cell_lib.v" in my netlist, and the verilog-XL runs well, while ncverilog will not run with certain warning messages. In fact, I found that as soon as I put the uselib syntax in my netlist, the ncverilog will not launch.

How can it be like this? Can somebody help me? or show me some examples to use nc-verilog in command line mode.

It's strange, may i ask you a question??
which version of ncverilog do you use??

and i think `uselib is the syntax of verilog-XL instead of verilog
so check out your document of ncverilog to find out this.

besides, instead of using 'uselib syntax i always use "-y path of lib" as input of ncverilog command, it works well in pre-sim!!

good luck
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top