Help me fix clearbox errors generated by Synplify

Status
Not open for further replies.

jealous

Newbie level 2
Joined
Oct 26, 2004
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Beijing,China
Activity points
47
I have a mega-function (clearbox) which is generated by Quartus 2 v4.1 using the MegaWizad plug-in manager, but when I synthesized this clearbox using synplify pro v7.7, some errors occured like "Reference to undefined module carry_sum".
fifo_33_32.v in attachment is the clearbox generated by Quartus,It's a synchronized FIFO(synchronize reading and writing to 'rdclk' and 'wrclk', respectively;clocks are synchronized and interger multiples of each other).
I have added altera_mf.v into the synplify project containing fifo_33_32.v, which is the altera liberary for megafunction.
If you need more information, tell me.
Thanks in advance.

BTW:below is error messages generated by synplify.


@E: CG106: fifo_33_32.v(3085): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3085:13:3085:20
@E: CG106: fifo_33_32.v(3091): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3091:13:3091:20
@E: CG106: fifo_33_32.v(3100): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3100:13:3100:20
@E: CG106: fifo_33_32.v(3106): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3106:13:3106:20
@E: CG106: fifo_33_32.v(3112): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3112:13:3112:20
@E: CG106: fifo_33_32.v(3118): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3118:13:3118:20
@E: CG106: fifo_33_32.v(3124): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3124:13:3124:20
@E: CG106: fifo_33_32.v(3130): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3130:13:3130:20
@E: CG106: fifo_33_32.v(3139): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3139:13:3139:20
@E: CG106: fifo_33_32.v(3145): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3145:13:3145:20
@E: CG106: fifo_33_32.v(3151): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3151:13:3151:20
@E: CG106: fifo_33_32.v(3157): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3157:13:3157:20
@E: CG106: fifo_33_32.v(3163): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3163:13:3163:20
@E: CG106: fifo_33_32.v(3169): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3169:13:3169:20
@E: CG106: fifo_33_32.v(3178): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3178:13:3178:17
@E: CG106: fifo_33_32.v(3184): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3184:13:3184:19
@E: CG106: fifo_33_32.v(3190): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3190:13:3190:19
@E: CG106: fifo_33_32.v(3196): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3196:13:3196:19
@E: CG106: fifo_33_32.v(3202): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3202:13:3202:19
@E: CG106: fifo_33_32.v(3208): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3208:13:3208:19
@E: CG106: fifo_33_32.v(3214): Reference to undefined module carry_sum @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3214:13:3214:19
@E: CS165: fifo_33_32.v(3180): Expecting wire for output connection @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3180:10:3180:39
@E: CS165: fifo_33_32.v(3182): Expecting wire for output connection @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3182:10:3182:39
@E: CL175: fifo_33_32.v(3052): Multiple non-tristate drivers for net wire_cs13a_sin[5] in fifo_33_32_alt_sync_fifo_aem @E:"e:\wyy\trash\syncfifo\fifo_33_32.v":3052:15:3052:29

24 Verilog Compiler errors
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…