Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Help me decrease my simulation runtime

Status
Not open for further replies.

bibo1978

Full Member level 4
Joined
May 1, 2004
Messages
210
Helped
12
Reputation
24
Reaction score
6
Trophy points
1,298
Activity points
2,548
how to speed up runtime modelsim

I want to decrease my simulation runtime, I have tried all techniques to my knowledge but my runtime is very big.
I work on Modelsim 5.7 under windows, yet even with appropriate PLI models and VCD files if possible, minor changes in the design might take hours to simulate, I dunno if it is possible that another simulation tool offer a faster performance than Modelsim or is there a better technique to get faster simulation results. may be if the code can be converted to a faster performance language than VHDL or Verilog, I dunno,
in general I want to know what is possible techniques to save run time
 

Re: Simulation run time

1. Try Cadence's NCverilog. AFAIK it's the fastest simulator.
2. Try to upgarde your system.
 

Simulation run time

Are u doing Post-Synthesis simulation or Pre-Synthesis is taking that much time. I m guessing for Post-Synthesis or Post-P&R. Tools other than ModelSim can be more stable but ModelSim is faster. U can try out replacing ur Memory blocks with Arrays (just for simulation purpose), avoid including .sdf for Post-P&R or perhaps use some faster machine.
PS: PostP&R simulation for an avg. VHDL code on server grade processor with 1GB Ram gave only 5ms simulation run in 1 Hr.
 

Simulation run time

Do you have ModelSim SE? It has an optimizer. I sometimes use vopt to speed up my Verilog sims.

I usually divide my project so I can simulate each section individually to avoid long sim times.
 

Re: Simulation run time

may be I need to upgrade my memory I have only 512 DDR-RAM, this might be the main problem, my processor is ok
I do both post and pre-simulation of course post takes much longer runtime but even the behavioral simulation takes a lot of time, as I had said I use VCD files but still my runtime is large although it sometimes may be decreased more than 50%, I am using SE version
I wantt to know more about the optimizer does it work with VHDL as well
 

Simulation run time

vopt designUnit -o optimizationname
Then, simulate using vsim optimizationname
It usually merges the modules/processes, removes registers etc. U can findout more from Commands manual with ModelSim documentation.
 

Re: Simulation run time

Hi,
better use vcs and for dumping out simulation activities better dump fsdb files instead of vcd using PLI invocation to debussy from nova
 

Re: Simulation run time

is it the gate level simulation? use of hardware accelerators will speedup the simulation.
 

Re: Simulation run time

bibo1978 said:
I want to decrease my simulation runtime, I have tried all techniques to my knowledge but my runtime is very big.
I work on Modelsim 5.7 under windows, yet even with appropriate PLI models and VCD files if possible, minor changes in the design might take hours to simulate, I dunno if it is possible that another simulation tool offer a faster performance than Modelsim or is there a better technique to get faster simulation results. may be if the code can be converted to a faster performance language than VHDL or Verilog, I dunno,
in general I want to know what is possible techniques to save run time

Hi,
Try using MTI's WLF format than VCD. Depending on the specific case, WLF dumping might be as fast as 3X against VCD. Some ratiuonale: VCD is text/ASCII while WLF is binary. Size of files differ heavily etc.

Good Luck
Ajeetha
www.noveldv.com
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top