Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Help for verilog coding- Altera DE1

Status
Not open for further replies.

sarah_jase

Newbie level 3
Joined
May 27, 2012
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,296
hello
I'm new in FPGA and for the digital course in this semester I need to write verilog code for a digital clock and calender and present the result with altera DE1 for the final.
I wrote the code and it works properly. the clock is ok but for the calender I have problem with led. I want to show the month and day numbers with LEDR but the leds are not working properly. when I assign the number to the output just the first led turns on. for example if the number is 3 and I must have 0011, just first bit is on. anyone can help?
 

thx that no one helped me. I found it myself.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top