Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Help for UVM pack in verification

Status
Not open for further replies.

gopimithun

Newbie level 2
Joined
Nov 19, 2009
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Trichy
Activity points
1,296
Hi,

There are 2 types,
In which there are some fields in it.

Eg:
TYPE 1: [7:0]src,[7:0]dest,xtype,[4:0]ytype ,[16:0]a , [16:0]b , [16:0]c , [16:0]d
TYPE 2: [7:0]src,[7:0]dest,xtype,[4:0]ytype ,[16:0]w, [16:0]x , [16:0]y , [16:0]z

I want to pack all the fields in TYPE 1 and TYPE 2 and send it byte by byte,
But when I do run with TYPE 1 I want to transmit only the TYPE 1 fields.
I am confused how to pack these 2 type with different fields in it , though some fields in TYPE 1 and TYPE 2 are same.
I used single uvm_sequence_item, were all the fields are written.
I used pack_bytes() for sending byte by byte,
But I am unable to take only the TYPE 1 fields.
I don’t want the fields of TYPE 2 when I am running with TYPE 1.
Is there any way to do this using UVM.

thanks,
Gopi
 

Hi Dave,
Thanks for your reply..
Actullay TYPE 1 and TYPE 2 are two different sequence_item only.
But i have a doubt weather we can have more than one sequence_item., if so how to call that sequence_item alone in different classes. I mean monitor,Scoreboard,etc...

thanks,
Gopi
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top