Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

help Error for LPM Ram synplify.

Status
Not open for further replies.

cltong

Newbie level 4
Joined
Jan 3, 2003
Messages
7
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
43
The error is:
@E: CG165 :"E:\verilog\new\cqpic100d\PIC100D\VERILOG\DATARAM.V":27:10:27:22|Illegal defparam. parameter LPM_WIDTHAD cannot be found in module lpm_ram_dq.

the source code of verilog:

module dataram(addr, read, write, clk, datain, dataout);
input [8:0] addr;
input read;
input write;
input clk;
input [7:0] datain;
output [7:0] dataout;

lpm_ram_dq u (
.data(datain),
// .address(addr), // Full implementation of BANK3-0
.address(addr[6:0]), // BANK0 only
// .inclock(clk),
.outclock(clk),
.we(write),
.q(dataout)
);

// defparam u.LPM_WIDTHAD = 9; // LPM_WIDTHAD (Full implementation of BANK3-0)
defparam u.LPM_WIDTHAD = 7; // LPM_WIDTHAD (BANK0 only)
defparam u.LPM_WIDTH = 8;
defparam u.LPM_INDATA = "UNREGISTERED";
defparam u.LPM_ADDRESS_CONTROL = "UNREGISTERED";
defparam u.LPM_OUTDATA = "REGISTERED";

endmodule
 

You can try another method, for example you can delcare " reg [7:0] mem [0:127]", synplify will automatic to synthesis to be fpga ram macro by device.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top