Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

have a problem to assign value to signal

Status
Not open for further replies.

electronical

Advanced Member level 4
Joined
Nov 4, 2011
Messages
104
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
1,975
in this command
a(i)<=b;
a will change when b changing or when i changing too.(in the real )
 

This is a pretty useless code snippet. Without context (like is it inside a process, or outside a process inside a generate loop, or where) its nearlly impossible to answer your question. Please post more code.

The questions are:
1. is b a variable or signal or constant?
2. is i a variable or signal or constant?
3. is this inside a process or outside?
 

Can you post some code of what you are trying to do?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top