Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Generated clocks in design compiler

Status
Not open for further replies.

xdaanx

Newbie level 4
Joined
Apr 20, 2019
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
41
I have two clocks in my design where I am not sure how to define them properly:

A)

I have created generated clock CLK_A and CLK_C, but I am not sure how to define CLK_B.

CLK_A and CLK_C are asynchronous clock domains
CLK_A is gated generated clock from primary clock 1
CLK_C is gated generated clock from primary clock 2
CLK_B is used only to latch the event until it is detected by the FF in CLK_C clock domain.


B)
CLK is generated by combination of two inputs (used as data in all other clock domains) and gated to one by the output of shift register.

I have defined PORT A and PORT B as primaray clocks, but I am not sure how to define CLK

generated_clocks.png
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top