Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

generate random number in vhdl

Status
Not open for further replies.

k_cheng_aun2000

Newbie level 4
Joined
Jul 9, 2004
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
34
random number in vhdl

anyone know how to implement random number generator between -1 to 1 in VHDL using Quartus II software.

thanks
 

random number vhdl

In digital system, all data is 0 or 1.
Where is the source for seed of the random number generator?

We have tried using meta-stable to generate the seed.
You also can use RTC (real time clock) input, since each time the time input will be different.
For asic without RTC, you can think about other idea about how to generae the seed in digital system.
 

I know the examples about your problem in www.xess.com site but I do not memory exactly link. It is written by VHDL with FPGA chip of Xilnx using Webpack, but I think you can research and change it to implement by Altera software. Good luck
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top